CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 键盘扫描 vhdl

搜索资源列表

  1. Matri_key

    0下载:
  2. 矩阵键盘的扫描的vhdl代码,在实验板上调试过,供大家参考
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:179838
    • 提供者:ecomputer
  1. keyboard

    0下载:
  2. 用VHDL语言实现4*4键盘的识别,扫描键盘,译码并点亮开发板上相应led-4*4keyboard,VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1104
    • 提供者:yanzi
  1. PS2keyboard

    0下载:
  2. PS2键盘口扫描电路的实现 VHDL语言实现-PS2 keyboard port scanning circuit realization of VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:529575
    • 提供者:Ivan_s
  1. data_scanC-

    0下载:
  2. PS/2键盘通信控制电路的数据扫描电路VHDL程序-PS2keyboard VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:859
    • 提供者:章迁
  1. keyboard

    0下载:
  2. 基于VHDL的4*4矩阵键盘扫描,包括按键次数计数功能,已处理防抖、长按、双键-Count function based on VHDL-4* 4 matrix keyboard scanning, including the number of keys, and have been processed image stabilization, long, double bond
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-10
    • 文件大小:1639027
    • 提供者:Charles
  1. MMattri_keeya

    0下载:
  2. 矩阵键盘的扫描的vhdl代码码,在实验板上调试过,供大家参考 -Matrix keyboard scanning the vhdl code code, debugging breadboard, for your reference
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-14
    • 文件大小:180600
    • 提供者:mmzz3211
  1. saomiao

    0下载:
  2. 用VHDL语言编写的行列式键盘扫描程序,已经调试可用,希望对用到键盘的同学有所帮助。-Determinant keyboard scanning procedures, already using VHDL language debugging can be helpful to students to use the keyboard.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:125376
    • 提供者:吴达
  1. EDA

    0下载:
  2. 采用VHDL这一简单实用的硬件描述语言,对常见的4*4键盘扫描显示作了简单的描述,重点是扫描、显示的原理过程,其中采用了很多的进程语句。-a very simple and common keyboard in laboratory,we use the specific hardware language,namely,the VHDL.we describle the specific working process.
  3. 所属分类:Other systems

    • 发布日期:2017-12-01
    • 文件大小:153594
    • 提供者:李明
  1. keyboard

    0下载:
  2. 实现从键盘输入的vhdl程序,通过按键输入,扫描,键盘去抖动,键盘输出-input from the keyboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:5543
    • 提供者:gtt
  1. keybord

    0下载:
  2. FPGA环境下,用VHDL语言实现键盘扫描功能。-FPGA environment, VHDL language keyboard scan function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-09
    • 文件大小:23049
    • 提供者:吴霏羽
  1. KEY

    0下载:
  2. 用VHDL语言来实现扫描键盘值,并在数码管上显示-VHDL language to achieve scanning keyboard and display on the digital
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:707222
    • 提供者:田天
  1. keyscan

    0下载:
  2. 本程序是用VHDL实现的键盘扫描程序,已调试通过的,大家可以下载作为参考。-This program is a keyboard scanner using VHDL debugging through, you can download as a reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:268069
    • 提供者:工程师
  1. 4X4-key

    0下载:
  2. 4X4键盘的扫描程序和键盘的消抖程序,使用VHDL语言编写的程序-The 4X4 keypad scanner and keyboard eliminate buffeting program VHDL language program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:1595
    • 提供者:lsw
  1. KEY4X4_1

    0下载:
  2. CPLD/FPGA,VHDL语言实现键盘按钮扫描,键盘扫描程序-CPLD/FPGA, VHDL language keyboard button scanning, keyboard scanning procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:262027
    • 提供者:孙祥
  1. VHDL_design

    1下载:
  2. 本综合实验包括节拍脉冲发生器、键盘扫描显示和八位二进制计数器三个模块。采用VHDL语言为硬件描述语言,Xilinx ISE 10.1作为开发平台,所开发的程序通过调试运行验证,初步实现了设计目标。-This includes comprehensive experimental beats pulse generator, display and keyboard scan eight binary counter three modules. Using VHDL as the hardwar
  3. 所属分类:software engineering

    • 发布日期:2017-03-24
    • 文件大小:90771
    • 提供者:陈芳
  1. keyscan

    0下载:
  2. 利用VHDL语言编写的4*4键盘扫描程序,经过测试,可以放心使用。-Using VHDL language 4* 4 keyboard scanning procedures, tested, safe to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:55391
    • 提供者:huying
  1. KEY

    0下载:
  2. 利用VHDL实现4X4键盘的扫描和译码,并且在数码管显示相应的按键值。-Use VHDL to achieve 4X4 keypad scanning and decoding, and displays the corresponding value in the digital keys.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12618731
    • 提供者:冯凯
  1. jpsm

    0下载:
  2. 基于VHDL语言的4*4矩阵键盘扫描代码-Matrix keyboard scan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:761
    • 提供者:luo
  1. calculator

    0下载:
  2. 基于vhdl设计的具有加减乘除以及取余数等等运算功能的计算器,可通过键盘扫描实现输入。-Vhdl-based design has addition, subtraction, and take the remainder and so on arithmetic function calculator, can be achieved through keyboard input scanning.
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:143937
    • 提供者:张圆
« 1 2 3 4»
搜珍网 www.dssz.com